-- -- Program -- D:\EXEMPLAR\BIN\PC\FPGA.EXE D:\EXEMPLAR\TUTORIAL\COUNT6.VHD D:\EXEMPLAR\TUTO -- RIAL\HARDWARE\COUNT6.VHD -COMMAND_FILE=D:\EXEMPLAR\DEMO\TMP9.$$$ -- Version V2.1.4 -- Definition of COUNT6 -- -- VHDL Structural Description, created by -- Exemplar Logic's CORE -- Fri Mar 3 16:21:22 1995 -- -- -- library ieee ; use ieee.std_logic_1164.all ; LIBRARY exemplar; USE exemplar.Cypress_pASIC.ALL; entity COUNT6 is port ( CLOCK, RESET : in std_logic ; DIVIDE_OUT : out std_logic) ; end COUNT6 ; architecture exemplar of COUNT6 is signal COUNTr5_0, COUNTr5_1, COUNTr5_2, COUNTr5_3, COUNTr5_4, COUNTr5_5, COUNTr5_6, COUNTr5_7, COUNTr5_8, COUNTr5_9, COUNTr5_10, COUNTr5_11, COUNTr5_12, COUNTr5_13, COUNTr5_14, COUNTr5_15, COUNTr5_16, COUNTr5_17, COUNTr5_18, COUNTr5_19, COUNTr5_20, COUNTr5_21, COUNTr5_22, COUNTr5_23, COUNTr5_24, COUNTr5_25, COUNTr5_26, COUNTr5_27, COUNTr5_28, COUNTr5_29, COUNTr5_30, COUNTr5_31, vh_2, vh_3, vh_4, vh_5, vh_6, vh_7, vh_8, vh_9, vh_10, vh_11, vh_12, vh_13, vh_14, vh_15, vh_16, vh_17, vh_18, vh_19, vh_20, vh_21, vh_22, vh_23, vh_24, vh_25, vh_26, vh_27, vh_28, vh_29, vh_30, vh_31, vh_32, vh_33, vh_34, vh_35, vh_36, vh_37, vh_38, vh_39, vh_40, vh_41, vh_42, vh_43, vh_44, vh_45, vh_46, vh_47, vh_48, vh_49, vh_50, vh_51, vh_52, UCOUNTr2_19, vh_53, vh_54, vh_55, vh_56, vh_57, vh_58, vh_59, vh_60, vh_61, vh_62, vh_63, vh_64, vh_65, vh_66, vh_67, vh_68, vh_69, vh_70, vh_71, vh_72, vh_73, vh_74, vh_75, vh_76, vh_77, vh_78, vh_79, vh_80, vh_81, vh_82, vh_83, vh_84, vh_85, vh_86, vh_87, vh_88, vh_89, UCOUNTr2_7, vh_90, vh_91, vh_92, vh_93, vh_94, vh_95, vh_96, vh_97, vh_98, vh_99, vh_100, vh_101, vh_102, vh_103, vh_104, vh_105, vh_106, vh_107, COUNTr3_28, COUNTr4_0, COUNTr4_1, COUNTr4_2, COUNTr4_3, COUNTr4_4, COUNTr4_5, COUNTr4_6, COUNTr4_7, COUNTr4_8, COUNTr4_9, COUNTr4_10, COUNTr4_11, COUNTr4_12, COUNTr4_13, COUNTr4_14, COUNTr4_15, COUNTr4_16, COUNTr4_17, COUNTr4_18, COUNTr4_19, COUNTr4_20, COUNTr4_21, COUNTr4_22, COUNTr4_23, COUNTr4_24, COUNTr4_25, COUNTr4_26, COUNTr4_27, COUNTr4_28, COUNTr4_29, COUNTr4_30, COUNTr4_31, vh_173, vh_174, vh_175, vh_176, vh_177, vh_178, vh_179, vh_180, vh_181: std_logic ; begin g1000 : AND3I3 port map ( Q=>vh_2, A=>COUNTr5_29, B=>COUNTr5_30, C=>COUNTr5_31); g1001 : AND4I3 port map ( Q=>vh_3, A=>vh_2, B=>COUNTr5_27, C=>COUNTr5_28, D=>COUNTr5_26); g1002 : AND4I3 port map ( Q=>vh_4, A=>vh_3, B=>COUNTr5_24, C=>COUNTr5_25, D=>COUNTr5_23); g1003 : AND4I3 port map ( Q=>vh_5, A=>vh_4, B=>COUNTr5_21, C=>COUNTr5_22, D=>COUNTr5_20); g1004 : AND4I3 port map ( Q=>vh_6, A=>vh_5, B=>COUNTr5_18, C=>COUNTr5_19, D=>COUNTr5_17); g1005 : AND4I3 port map ( Q=>vh_7, A=>vh_6, B=>COUNTr5_15, C=>COUNTr5_16, D=>COUNTr5_14); g1006 : AND4I3 port map ( Q=>vh_8, A=>vh_7, B=>COUNTr5_12, C=>COUNTr5_13, D=>COUNTr5_11); g1007 : AND4I3 port map ( Q=>vh_9, A=>vh_8, B=>COUNTr5_9, C=>COUNTr5_10, D=>COUNTr5_8); g1008 : AND4I3 port map ( Q=>vh_10, A=>vh_9, B=>COUNTr5_6, C=>COUNTr5_7, D=>COUNTr5_5); g1009 : AND4I3 port map ( Q=>vh_11, A=>vh_10, B=>COUNTr5_3, C=>COUNTr5_4, D=>COUNTr5_2); g1010 : AND2I1 port map ( Q=>vh_12, A=>vh_11, B=>COUNTr5_1); g1011 : MUX2X2 port map ( Q=>vh_13, A=>vh_12, B=>vh_12, S=>COUNTr5_0); g1012 : AND2I1 port map ( Q=>vh_14, A=>vh_13, B=>vh_176); g1013 : AND3I1 port map ( Q=>vh_15, A=>COUNTr5_31, B=>COUNTr5_30, C=>vh_176); g1014 : AND3I3 port map ( Q=>vh_16, A=>COUNTr5_30, B=>vh_176, C=>COUNTr5_31); g1015 : AND2I2 port map ( Q=>vh_17, A=>vh_15, B=>vh_16); g1016 : MUX2X2 port map ( Q=>vh_18, A=>vh_2, B=>vh_2, S=>COUNTr5_28); g1017 : AND2I1 port map ( Q=>vh_19, A=>vh_18, B=>vh_176); g1018 : AND2I2 port map ( Q=>vh_20, A=>COUNTr5_30, B=>COUNTr5_31); g1019 : MUX2X2 port map ( Q=>vh_21, A=>vh_20, B=>vh_20, S=>COUNTr5_29); g1020 : AND2I1 port map ( Q=>vh_22, A=>vh_21, B=>vh_176); g1021 : AND2I2 port map ( Q=>vh_23, A=>vh_176, B=>COUNTr5_31); g1022 : AND4I3 port map ( Q=>vh_24, A=>vh_17, B=>vh_19, C=>vh_22, D=>vh_23); g1023 : AND3I2 port map ( Q=>vh_25, A=>vh_2, B=>COUNTr5_27, C=>COUNTr5_28 ); g1024 : MUX2X2 port map ( Q=>vh_26, A=>vh_25, B=>vh_25, S=>COUNTr5_26); g1025 : AND2I1 port map ( Q=>vh_27, A=>vh_26, B=>vh_176); g1026 : AND2I1 port map ( Q=>vh_28, A=>vh_2, B=>COUNTr5_28); g1027 : MUX2X2 port map ( Q=>vh_29, A=>vh_28, B=>vh_28, S=>COUNTr5_27); g1028 : AND2I1 port map ( Q=>vh_30, A=>vh_29, B=>vh_176); g1029 : AND2I2 port map ( Q=>vh_31, A=>vh_27, B=>vh_30); g1030 : MUX2X2 port map ( Q=>vh_32, A=>vh_3, B=>vh_3, S=>COUNTr5_25); g1031 : AND2I1 port map ( Q=>vh_33, A=>vh_32, B=>vh_176); g1032 : AND2I1 port map ( Q=>vh_34, A=>vh_3, B=>COUNTr5_25); g1033 : MUX2X2 port map ( Q=>vh_35, A=>vh_34, B=>vh_34, S=>COUNTr5_24); g1034 : AND2I1 port map ( Q=>vh_36, A=>vh_35, B=>vh_176); g1035 : AND4I2 port map ( Q=>vh_37, A=>vh_24, B=>vh_31, C=>vh_33, D=>vh_36); g1036 : MUX2X2 port map ( Q=>vh_38, A=>vh_4, B=>vh_4, S=>COUNTr5_22); g1037 : AND2I1 port map ( Q=>vh_39, A=>vh_38, B=>vh_176); g1038 : AND3I2 port map ( Q=>vh_40, A=>vh_3, B=>COUNTr5_24, C=>COUNTr5_25 ); g1039 : MUX2X2 port map ( Q=>vh_41, A=>vh_40, B=>vh_40, S=>COUNTr5_23); g1040 : AND2I1 port map ( Q=>vh_42, A=>vh_41, B=>vh_177); g1041 : AND2I2 port map ( Q=>vh_43, A=>vh_39, B=>vh_42); g1042 : AND3I2 port map ( Q=>vh_44, A=>vh_4, B=>COUNTr5_21, C=>COUNTr5_22 ); g1043 : MUX2X2 port map ( Q=>vh_45, A=>vh_44, B=>vh_44, S=>COUNTr5_20); g1044 : AND2I1 port map ( Q=>vh_46, A=>vh_45, B=>vh_177); g1045 : AND2I1 port map ( Q=>vh_47, A=>vh_4, B=>COUNTr5_22); g1046 : MUX2X2 port map ( Q=>vh_48, A=>vh_47, B=>vh_47, S=>COUNTr5_21); g1047 : AND2I1 port map ( Q=>vh_49, A=>vh_48, B=>vh_177); g1048 : AND3I2 port map ( Q=>vh_50, A=>vh_43, B=>vh_46, C=>vh_49); g1049 : MUX2X2 port map ( Q=>vh_51, A=>vh_5, B=>vh_5, S=>COUNTr5_19); g1050 : AND2I1 port map ( Q=>vh_52, A=>vh_51, B=>vh_177); g1051 : INV port map ( Q=>UCOUNTr2_19, A=>vh_52); g1052 : AND2I1 port map ( Q=>vh_53, A=>vh_5, B=>COUNTr5_19); g1053 : MUX2X2 port map ( Q=>vh_54, A=>vh_53, B=>vh_53, S=>COUNTr5_18); g1054 : AND2I1 port map ( Q=>vh_55, A=>vh_54, B=>vh_177); g1055 : MUX2X2 port map ( Q=>vh_56, A=>vh_6, B=>vh_6, S=>COUNTr5_16); g1056 : AND2I1 port map ( Q=>vh_57, A=>vh_56, B=>vh_177); g1057 : AND3I2 port map ( Q=>vh_58, A=>vh_5, B=>COUNTr5_18, C=>COUNTr5_19 ); g1058 : MUX2X2 port map ( Q=>vh_59, A=>vh_58, B=>vh_58, S=>COUNTr5_17); g1059 : AND2I1 port map ( Q=>vh_60, A=>vh_59, B=>vh_177); g1060 : AND6I3 port map ( Q=>vh_61, A=>vh_37, B=>vh_50, C=>UCOUNTr2_19, D=>vh_55, E=>vh_57, F=>vh_60); g1061 : AND3I2 port map ( Q=>vh_62, A=>vh_6, B=>COUNTr5_15, C=>COUNTr5_16 ); g1062 : MUX2X2 port map ( Q=>vh_63, A=>vh_62, B=>vh_62, S=>COUNTr5_14); g1063 : AND2I1 port map ( Q=>vh_64, A=>vh_63, B=>vh_177); g1064 : AND2I1 port map ( Q=>vh_65, A=>vh_6, B=>COUNTr5_16); g1065 : MUX2X2 port map ( Q=>vh_66, A=>vh_65, B=>vh_65, S=>COUNTr5_15); g1066 : AND2I1 port map ( Q=>vh_67, A=>vh_66, B=>vh_177); g1067 : AND2I2 port map ( Q=>vh_68, A=>vh_64, B=>vh_67); g1068 : AND2I1 port map ( Q=>vh_69, A=>vh_7, B=>COUNTr5_13); g1069 : MUX2X2 port map ( Q=>vh_70, A=>vh_69, B=>vh_69, S=>COUNTr5_12); g1070 : AND2I1 port map ( Q=>vh_71, A=>vh_70, B=>vh_177); g1071 : MUX2X2 port map ( Q=>vh_72, A=>vh_7, B=>vh_7, S=>COUNTr5_13); g1072 : AND2I1 port map ( Q=>vh_73, A=>vh_72, B=>vh_177); g1073 : AND3I2 port map ( Q=>vh_74, A=>vh_68, B=>vh_71, C=>vh_73); g1074 : MUX2X2 port map ( Q=>vh_75, A=>vh_8, B=>vh_8, S=>COUNTr5_10); g1075 : AND2I1 port map ( Q=>vh_76, A=>vh_75, B=>vh_178); g1076 : AND3I2 port map ( Q=>vh_77, A=>vh_7, B=>COUNTr5_12, C=>COUNTr5_13 ); g1077 : MUX2X2 port map ( Q=>vh_78, A=>vh_77, B=>vh_77, S=>COUNTr5_11); g1078 : AND2I1 port map ( Q=>vh_79, A=>vh_78, B=>vh_178); g1079 : AND2I2 port map ( Q=>vh_80, A=>vh_76, B=>vh_79); g1080 : AND2I1 port map ( Q=>vh_81, A=>vh_8, B=>COUNTr5_10); g1081 : MUX2X2 port map ( Q=>vh_82, A=>vh_81, B=>vh_81, S=>COUNTr5_9); g1082 : AND2I1 port map ( Q=>vh_83, A=>vh_82, B=>vh_178); g1083 : AND3I2 port map ( Q=>vh_84, A=>vh_8, B=>COUNTr5_9, C=>COUNTr5_10 ); g1084 : MUX2X2 port map ( Q=>vh_85, A=>vh_84, B=>vh_84, S=>COUNTr5_8); g1085 : AND2I1 port map ( Q=>vh_86, A=>vh_85, B=>vh_178); g1086 : AND4I2 port map ( Q=>vh_87, A=>vh_74, B=>vh_80, C=>vh_83, D=>vh_86); g1087 : MUX2X2 port map ( Q=>vh_88, A=>vh_9, B=>vh_9, S=>COUNTr5_7); g1088 : AND2I1 port map ( Q=>vh_89, A=>vh_88, B=>vh_178); g1089 : INV port map ( Q=>UCOUNTr2_7, A=>vh_89); g1090 : MUX2X2 port map ( Q=>vh_90, A=>vh_10, B=>vh_10, S=>COUNTr5_4); g1091 : AND2I1 port map ( Q=>vh_91, A=>vh_90, B=>vh_178); g1092 : AND3I2 port map ( Q=>vh_92, A=>vh_9, B=>COUNTr5_6, C=>COUNTr5_7); g1093 : MUX2X2 port map ( Q=>vh_93, A=>vh_92, B=>vh_92, S=>COUNTr5_5); g1094 : AND2I1 port map ( Q=>vh_94, A=>vh_93, B=>vh_178); g1095 : AND2I1 port map ( Q=>vh_95, A=>vh_9, B=>COUNTr5_7); g1096 : MUX2X2 port map ( Q=>vh_96, A=>vh_95, B=>vh_95, S=>COUNTr5_6); g1097 : AND2I1 port map ( Q=>vh_97, A=>vh_96, B=>vh_178); g1098 : AND4I3 port map ( Q=>vh_98, A=>UCOUNTr2_7, B=>vh_91, C=>vh_94, D=>vh_97); g1099 : AND3I2 port map ( Q=>vh_99, A=>vh_10, B=>COUNTr5_3, C=>COUNTr5_4 ); g1100 : MUX2X2 port map ( Q=>vh_100, A=>vh_99, B=>vh_99, S=>COUNTr5_2); g1101 : AND2I1 port map ( Q=>vh_101, A=>vh_100, B=>vh_178); g1102 : AND2I1 port map ( Q=>vh_102, A=>vh_10, B=>COUNTr5_4); g1103 : MUX2X2 port map ( Q=>vh_103, A=>vh_102, B=>vh_102, S=>COUNTr5_3); g1104 : AND2I1 port map ( Q=>vh_104, A=>vh_103, B=>vh_178); g1105 : OR2I0 port map ( Q=>vh_105, A=>vh_101, B=>vh_104); g1106 : MUX2X2 port map ( Q=>vh_106, A=>vh_11, B=>vh_11, S=>COUNTr5_1); g1107 : AND2I1 port map ( Q=>vh_107, A=>vh_106, B=>vh_178); g1108 : AND6I3 port map ( Q=>COUNTr3_28, A=>vh_61, B=>vh_87, C=>vh_98, D=>vh_105, E=>vh_14, F=>vh_107); g1109 : AND2I1 port map ( Q=>COUNTr4_0, A=>vh_14, B=>vh_179); g1110 : AND2I1 port map ( Q=>COUNTr4_1, A=>vh_107, B=>vh_179); g1111 : AND2I1 port map ( Q=>COUNTr4_2, A=>vh_101, B=>vh_179); g1112 : AND2I1 port map ( Q=>COUNTr4_3, A=>vh_104, B=>vh_179); g1113 : AND2I1 port map ( Q=>COUNTr4_4, A=>vh_91, B=>vh_179); g1114 : AND2I1 port map ( Q=>COUNTr4_5, A=>vh_94, B=>vh_179); g1115 : AND2I1 port map ( Q=>COUNTr4_6, A=>vh_97, B=>vh_179); g1116 : AND2I1 port map ( Q=>COUNTr4_7, A=>vh_89, B=>vh_179); g1117 : AND2I1 port map ( Q=>COUNTr4_8, A=>vh_86, B=>vh_179); g1118 : AND2I1 port map ( Q=>COUNTr4_9, A=>vh_83, B=>vh_179); g1119 : AND2I1 port map ( Q=>COUNTr4_10, A=>vh_76, B=>vh_179); g1120 : AND2I1 port map ( Q=>COUNTr4_11, A=>vh_79, B=>vh_180); g1121 : AND2I1 port map ( Q=>COUNTr4_12, A=>vh_71, B=>vh_180); g1122 : AND2I1 port map ( Q=>COUNTr4_13, A=>vh_73, B=>vh_180); g1123 : AND2I1 port map ( Q=>COUNTr4_14, A=>vh_64, B=>vh_180); g1124 : AND2I1 port map ( Q=>COUNTr4_15, A=>vh_67, B=>vh_180); g1125 : AND2I1 port map ( Q=>COUNTr4_16, A=>vh_57, B=>vh_180); g1126 : AND2I1 port map ( Q=>COUNTr4_17, A=>vh_60, B=>vh_180); g1127 : AND2I1 port map ( Q=>COUNTr4_18, A=>vh_55, B=>vh_180); g1128 : AND2I1 port map ( Q=>COUNTr4_19, A=>vh_52, B=>vh_180); g1129 : AND2I1 port map ( Q=>COUNTr4_20, A=>vh_46, B=>vh_180); g1130 : AND2I1 port map ( Q=>COUNTr4_21, A=>vh_49, B=>vh_180); g1131 : AND2I1 port map ( Q=>COUNTr4_22, A=>vh_39, B=>vh_181); g1132 : AND2I1 port map ( Q=>COUNTr4_23, A=>vh_42, B=>vh_181); g1133 : AND2I1 port map ( Q=>COUNTr4_24, A=>vh_36, B=>vh_181); g1134 : AND2I1 port map ( Q=>COUNTr4_25, A=>vh_33, B=>vh_181); g1135 : AND2I1 port map ( Q=>COUNTr4_26, A=>vh_27, B=>vh_181); g1136 : AND2I1 port map ( Q=>COUNTr4_27, A=>vh_30, B=>vh_181); g1137 : OR2I0 port map ( Q=>COUNTr4_28, A=>vh_181, B=>vh_19); g1138 : OR2I0 port map ( Q=>COUNTr4_29, A=>vh_181, B=>vh_22); g1139 : AND2I2 port map ( Q=>COUNTr4_30, A=>vh_181, B=>vh_17); g1140 : OR2I0 port map ( Q=>COUNTr4_31, A=>vh_181, B=>vh_23); g1141 : BUFF port map ( Q=>vh_173, A=>CLOCK); g1142 : BUFF port map ( Q=>vh_174, A=>CLOCK); g1143 : BUFF port map ( Q=>vh_175, A=>CLOCK); g1144 : BUFF port map ( Q=>vh_176, A=>RESET); g1145 : BUFF port map ( Q=>vh_177, A=>RESET); g1146 : BUFF port map ( Q=>vh_178, A=>RESET); g1147 : BUFF port map ( Q=>vh_179, A=>COUNTr3_28); g1148 : BUFF port map ( Q=>vh_180, A=>COUNTr3_28); g1149 : BUFF port map ( Q=>vh_181, A=>COUNTr3_28); vh_182 : DFF port map ( CLK=>vh_173, D=>COUNTr4_0, Q=>COUNTr5_0); vh_183 : DFF port map ( CLK=>vh_173, D=>COUNTr4_1, Q=>COUNTr5_1); vh_184 : DFF port map ( CLK=>vh_173, D=>COUNTr4_2, Q=>COUNTr5_2); vh_185 : DFF port map ( CLK=>vh_173, D=>COUNTr4_3, Q=>COUNTr5_3); vh_186 : DFF port map ( CLK=>vh_173, D=>COUNTr4_4, Q=>COUNTr5_4); vh_187 : DFF port map ( CLK=>vh_173, D=>COUNTr4_5, Q=>COUNTr5_5); vh_188 : DFF port map ( CLK=>vh_173, D=>COUNTr4_6, Q=>COUNTr5_6); vh_189 : DFF port map ( CLK=>vh_173, D=>COUNTr4_7, Q=>COUNTr5_7); vh_190 : DFF port map ( CLK=>vh_173, D=>COUNTr4_8, Q=>COUNTr5_8); vh_191 : DFF port map ( CLK=>vh_173, D=>COUNTr4_9, Q=>COUNTr5_9); vh_192 : DFF port map ( CLK=>vh_173, D=>COUNTr4_10, Q=>COUNTr5_10); vh_193 : DFF port map ( CLK=>vh_174, D=>COUNTr4_11, Q=>COUNTr5_11); vh_194 : DFF port map ( CLK=>vh_174, D=>COUNTr4_12, Q=>COUNTr5_12); vh_195 : DFF port map ( CLK=>vh_174, D=>COUNTr4_13, Q=>COUNTr5_13); vh_196 : DFF port map ( CLK=>vh_174, D=>COUNTr4_14, Q=>COUNTr5_14); vh_197 : DFF port map ( CLK=>vh_174, D=>COUNTr4_15, Q=>COUNTr5_15); vh_198 : DFF port map ( CLK=>vh_174, D=>COUNTr4_16, Q=>COUNTr5_16); vh_199 : DFF port map ( CLK=>vh_174, D=>COUNTr4_17, Q=>COUNTr5_17); vh_200 : DFF port map ( CLK=>vh_174, D=>COUNTr4_18, Q=>COUNTr5_18); vh_201 : DFF port map ( CLK=>vh_174, D=>COUNTr4_19, Q=>COUNTr5_19); vh_202 : DFF port map ( CLK=>vh_174, D=>COUNTr4_20, Q=>COUNTr5_20); vh_203 : DFF port map ( CLK=>vh_174, D=>COUNTr4_21, Q=>COUNTr5_21); vh_204 : DFF port map ( CLK=>vh_175, D=>COUNTr4_22, Q=>COUNTr5_22); vh_205 : DFF port map ( CLK=>vh_175, D=>COUNTr4_23, Q=>COUNTr5_23); vh_206 : DFF port map ( CLK=>vh_175, D=>COUNTr4_24, Q=>COUNTr5_24); vh_207 : DFF port map ( CLK=>vh_175, D=>COUNTr4_25, Q=>COUNTr5_25); vh_208 : DFF port map ( CLK=>vh_175, D=>COUNTr4_26, Q=>COUNTr5_26); vh_209 : DFF port map ( CLK=>vh_175, D=>COUNTr4_27, Q=>COUNTr5_27); vh_210 : DFF port map ( CLK=>vh_175, D=>COUNTr4_28, Q=>COUNTr5_28); vh_211 : DFF port map ( CLK=>vh_175, D=>COUNTr4_29, Q=>COUNTr5_29); vh_212 : DFF port map ( CLK=>vh_175, D=>COUNTr4_30, Q=>COUNTr5_30); vh_213 : DFF port map ( CLK=>vh_175, D=>COUNTr4_31, Q=>COUNTr5_31); vh_214 : DFF port map ( CLK=>vh_175, D=>vh_181, Q=>DIVIDE_OUT); end exemplar ;