analyze -f vhdl example.vhd elaborate example compile -map_effort low change_names -rule vhdl vhdlout_architecture_name = "SYN" vhdlout_use_packages = {"IEEE.std_logic_1164", "IEEE.std_logic_arith.all", "IEEE.std_logic_textio.all", "lsi_10k.COMPONENTS.all"} write -f db -hierarchy -output "example_gate.db" write -f vhdl -hierarchy -output "example_gate.vhd" exit