--------------------------------------------------- -- n-bit Comparator (ESD book figure 2.5) -- by Weijun Zhang, 04/2001 -- -- this simple comparator has two n-bit inputs & -- three 1-bit outputs --------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --------------------------------------------------- entity Comparator is generic(n: natural :=2); port( A: in std_logic_vector(n-1 downto 0); B: in std_logic_vector(n-1 downto 0); less: out std_logic; equal: out std_logic; greater: out std_logic ); end Comparator; --------------------------------------------------- architecture behv of Comparator is begin process(A,B) begin if (A