The to_stdlogicvector function

function to_stdlogicvector ( b : bit_vector ) return std_logic_vector;

Converts a built-in VHDL bit_vector to a std_logic_vector, place-by-place.