The std_logic Libraries

The IEEE created the IEEE VHDL library and std_logic type in standard 1164. This was extended by Synopsys; their extensions are freely redistributable.

Parts of the IEEE library can be included in an entity by inserting lines like these before your entity declaration:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

No attempt has been made here to be definitive or exhaustive. If you want real answers, read the source code. Links to the code or instructions on how to get it are provided for each section. The source is fairly readable to someone who knows some VHDL.

Library information

You can find information about the following libraries here:

Missing parts

Some more of the libraries will be added here eventually (hopefully). Until then, I will provide some source code:

About these pages